Welcome![Sign In][Sign Up]
Location:
Search - PS2 keyboard

Search list

[VHDL-FPGA-Verilogps2_lcd16

Description: verilog 编写的ps2键盘接口协议,FPGA接收到断码后确定通码,译码后显示到lcd1602上。说明:只是个按键,没有检测shift按键。只是译码了部分按键。-it is design by verilog language.the function is encode the keyboard and show on lcd. notice:the encode part is only encode one key. and only encode part of the keyboard.
Platform: | Size: 818176 | Author: lee | Hits:

[Otherps2drv_tb

Description: ps2 在FPGA开发板上实现电子琴弹奏的功能 -ps2 To achieve the functions of keyboard play in FPGA development board
Platform: | Size: 1024 | Author: 000000 | Hits:

[BooksPS2Keyboard-complete-protocol

Description: PS/2 设备接口用于许多现代的鼠标和键盘它是由IBM 开发并且最初出现在IBM 技术参考手册里,完整的PS2协议!-PS/2 interface is used in many modern mouse and keyboard which is developed by IBM and originally appeared in the IBM technical reference manual, complete PS2 protocol!
Platform: | Size: 617472 | Author: kingpower | Hits:

[VHDL-FPGA-Verilogps2_keyboard

Description: PS2 keyborad键盘扫描模块 可以实现FPGA的PS2通讯-PS2 keyborad keyboard scanning module can be implemented on FPGA PS2 newsletter
Platform: | Size: 26624 | Author: 焱斐然 | Hits:

[VHDL-FPGA-VerilogPS2_SOC1

Description: 用Verilog 设计了PS2 键盘 模块。 在altera公司的Cyclone系列测试了。 正常动作。包含者 doc软件,说明了动作原理。-This is a state-machine driven serial-to-parallel and parallel-to-serial interface to the ps2 style keyboard interface.
Platform: | Size: 82944 | Author: 金铁男 | Hits:

[Other Embeded programps2scan

Description: 采用VERILOG的CPLD编程,通过ps2接收键盘数据,然后把接收到的字母A到Z键值转换相应的ASII码,通过串口发送到PC机上。 -Using VERILOG CPLD programming, through the PS2 receive keyboard data, and then receive the letters A to Z key transformation corresponding ASII code, through the serial port to send to the PC machine.
Platform: | Size: 1024 | Author: suzhangzhan | Hits:

[Other Embeded programPS2mouse

Description: 这个是ps2鼠标键盘的程序,基于单片机实现-This is the ps2 mouse and keyboard program, through MCU. Project files can be opened keil
Platform: | Size: 109568 | Author: huajianyinmeng | Hits:

[VHDL-FPGA-Verilogps2keyboard

Description: FPGA通过ps2接收键盘数据,然后把接收到的字母A到Z键值转换相应的ASII码,通过串口发送到PC机上。 实验时,需要接键盘,还要用调试助手,下载程序后,在键盘上按下一个键,比如A,则在PC调试助手上可看到A-FPGA through PS2 receive keyboard data, and then receive the letters A to Z conversion keys corresponding ASII code, through the serial port to send to the PC machine. During the experiment, need to connect the keyboard, but also with the debugging assistant, download the program, press a key on the keyboard, such as A, in the PC debugging assistant can be seen on A
Platform: | Size: 175104 | Author: 珍宝 | Hits:

[VHDL-FPGA-VerilogSUN

Description: 基于nios的点阵贪吃蛇游戏设计,可外接PS2小键盘操作游戏-Nios based on lattice Snake game, you can add a small keyboard PS2 games
Platform: | Size: 14512128 | Author: lanxiaojun | Hits:

[Program docAVRPS2

Description: 通过使用AVR单片机对PS2键盘接口扩展技术应用研究,有助于工程应用-Keyboard interface extends through applied research on PS2 using AVR microcontroller technology helps engineering applications......
Platform: | Size: 397312 | Author: 张三 | Hits:

[VHDL-FPGA-Verilogps2_agreement

Description: 这是关于键盘和FPGA接口的协议的解读,中英文都有,非常详细,适合要写ps2接口的人-This is the interpretation of the Agreement on the keyboard and FPGA interfaces, the English have, in great detail, for people to write ps2 interface
Platform: | Size: 620544 | Author: 梁卓君 | Hits:

[OS programMSP430F149__PS2

Description: 基于msp430f149的开发应用,通过ps2通信方式,键盘发送字符到计算机-Based on the development and application of msp430f149, through the ps2 communication mode, the keyboard to send characters to the computer
Platform: | Size: 37888 | Author: huwanli | Hits:
« 1 2 ... 41 42 43 44 45 46»

CodeBus www.codebus.net